Features

Presentation: Lithography Technologies to Support High Performance Computing and Advancing AI by Toshiyuki Hisamura, Principal Member of Technical Staff for Silicon Technology at AMD [July 15, 2024]
>> View

Videos: Summer 2024 Fine Line Video Journal [June 18, 2024]
>> View

Videos: Spring 2024 Fine Line Video Journal [March 26, 2024]
>> View

Presentation: We’ve Come A Long Way in 15 Years by Aki Fujimura, CEO of D2S and co-founder of the eBeam Initiative [February 27, 2024]
>> View

Presentation: Cell Projection to Extend Shaped-Beam-Litho for New Applications by Matthias Slodowski, Vistec Electron Beam [February 27, 2024]
>> View

Press: eBeam Initiative Marks 15 Year Anniversary – FUJIFILM Corporation Joins the eBeam Initiative [February 27, 2024]
>> English & Japanese

Survey: 2024 Deep Learning Applications List by eBeam Initiative members [February 27, 2024]
>> View

Videos: 2023 eBeam Initiative Top Videos and Articles
>> View

Event: eBeam Initiative SPIE-AL Annual Lunch and Members Meeting, Tuesday, February 27, 2024
>> RSVP



>>View Features Archive

About Us

Mission | The Initiative provides a forum for educational and promotional activities regarding new design-to-manufacturing approaches that help reduce mask costs for semiconductor devices based on electron beam (eBeam) technologies.


Read the story of the eBeam Initiative


Design Team Advisors | Provide business and technical insights and requirements to the Steering Group and Ecosystem members.


eBeam Member Videos | Proof points, viewpoints and products discussed by eBeam Initiative members.



Aki Fujimura, CEO of D2S, sits down with Dan Hutcheson of TechInsights for a wide-ranging discussion.


Toru Fujimori of FUJIFILM Corporation provides an overview on photoresist materials for masks and wafers.


We welcome Averroes.ai to the eBeam Initiative.


Leo Pang of D2S explains why the mask world is moving to curvilinear mask shapes.


Follow Us On:

eBeam Industry News

GlobeNewswire: Multibeam Debuts Semiconductor Industry’s First Multicolumn E-Beam Lithography (MEBL) System for Volume Production [June 27, 2024]
>> View

Semiconductor Engineering: Single Vs. Multi-Patterning Advancements For EUV [June 20, 2024]
>> View

Semiconductor Engineering: Opportunities Grow for GPU Acceleration – eBeam Initiative Roundtable [June 5, 2024]
>> View

Semiconductor Engineering: A Wrap-Up of Photomask Japan 2024 by Ingo Bork, Siemens Digital Industries Software [May 23, 2024]
>> View

Semiconductor Engineering: Accelerating Innovation with an eBeam Lithography System by Al Blais, Johnny Yeap of Synopsys [May 23, 2024]
>> View

Semiconductor Engineering: Navigating the GPU Revolution [April 24, 2024]
>> View

eeNews: Intel Fellow Discusses High-NA EUV Lithography Progress [April 19, 2024]
>> View


>>View News Archive

View our Privacy Policy

Copyright © 2024 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.