eBeam Education

Presentations and Papers:

  • Survey: 2025 eBeam Initiative Luminaries Survey Results [September 23, 2025]
    View

  • Presentation: Technology Analysis of Leading-Edge Semiconductor Manufacturing, Tetsuya Wadaki, Morgan Stanley MUFG [September 23, 2025]
    View

  • Presentation: Full-Reticle Curvilinear Inline Linearity Correction Including Variable Bias with Zero Turnaround Time by Paris Spinelli, Micron, at PMJ 2025 [April 18, 2025]
    View

  • Presentation: Three Ways to Improve Wafer Uniformity by Aki Fujimura, D2S at PMJ 2025 [April 18, 2025]
    View

  • Presentation: PLDC Improves Uniformity and Linearity for All Masks by Aki Fujimura, D2S [February 25, 2025]
    View

  • Survey: 2025 Deep Learning Applications List by eBeam Initiative members [February 18, 2025]
    View

  • Survey: 2024 eBeam Initiative Luminaries Survey Results [October 1, 2024]
    View

  • Presentation: Lithography Technologies to Support High Performance Computing and Advancing AI by Toshiyuki Hisamura, Principal Member of Technical Staff for Silicon Technology at AMD [July 15, 2024]
    View

  • Presentation: We’ve Come A Long Way in 15 Years by Aki Fujimura, CEO of D2S and co-founder of the eBeam Initiative [February 27, 2024]
    View

  • Presentation: Cell Projection to Extend Shaped-Beam-Litho for New Applications by Matthias Slodowski, Vistec Electron Beam [February 27, 2024]]
    View

  • Survey: 2024 Deep Learning Applications List by eBeam Initiative members [February 27, 2024]
    View

  • Survey: 2023 eBeam Initiative Luminaries Survey Results [October 3, 2023]
    View

  • Presentation: Economics of Mask by Glen Scheid, Micron [October 3, 2023]
    View

  • Presentation: DAC 2023 - Why is Curvy Design an Opportunity Now? Panel Slides [July 11, 2023]
    View

  • Presentation: eBeam Lithography Misperceptions and Opportunities by David K. Lam, Multibeam Corporation, eBeam Initiative lunch [February 28, 2023]
    View

  • Presentation: eBeam Inspection and Metrology: Developments and Applications in Lithography by Yu Cao, ASML, eBeam Initiative lunch [February 28, 2023]
    View

  • Survey: 2023 Deep Learning Applications List by eBeam Initiative members [February 28, 2023]
    View

  • Presentation: O(p): GPUs, Pixels, DL, Curvy Masks by Aki Fujimura, D2S, eBeam Initiative Reception [September 27, 2022]
    View

  • Presentation: Curvilinear Masks in Memory for DUV and EUV by Ezequiel Russell, Micron, eBeam Initiative Reception [September 27, 2022]
    View

  • Presentation: Curvilinear MPC in Zero Time by Noriaki Nakayamada, NuFlare, eBeam Initiative Reception [September 27, 2022]
    View

  • Survey: 2022 eBeam Initiative Luminaries Survey Results [September 27, 2022]
    View

  • Survey: 2022 Deep Learning Applications List by eBeam Initiative members [March 1, 2022]
    View

  • Survey: 2021 eBeam Initiative Luminaries Survey Results [September 28, 2021]
    View

  • Paper: Inverse lithography technology: 30 years from concept to practical, full-chip reality by Leo Pang, D2S [August 31, 2021]
    View

  • Survey: 2021 Deep Learning Applications List by eBeam Initiative members [February 22, 2021]
    View

  • Survey: 2020 eBeam Initiative Mask Makers' Survey Results [September 22, 2020]
    View

  • Survey: eBeam Initiative Luminaries (formerly Perceptions) Survey Results [September 22, 2020]
    View

  • Presentation: ILT and Curvilinear Mask Designs for Advanced Memory Designs by Ezequiel Russell, Micron, at SPIE eBeam lunch [February 25, 2020]
    View

  • Presentation: The Mycronic Path Back to Semiconductors and into Deep Learning, Mycronic, at SPIE eBeam lunch [February 25, 2020]
    View

  • Survey: 2019 eBeam Initiative Perceptions Survey Results [September 17, 2019]
    Download PDF

  • Presentation: Digital Twins for a Curvilinear World by Leo Pang, D2S, at the eBeam Initiative Reception [September 17, 2019]
    View

  • Survey: 2019 eBeam Initiative Mask Makers' Survey Results [September 16, 2019]
    Download PDF

  • Presentation: The need for speed: Computations for EUV Lithography by Harry Levinson, HJL Lithography, at SPIE eBeam lunch [February 26, 2019]
    Download PDF

  • Presentation: How GPU-Accelerated Simulation Enables Applied Deep Learning for Photomasks by Leo Pang, D2S, at SPIE eBeam lunch [February 26, 2019]
    Download PDF

  • Presentation: Applications of Machine Learning in Computational Lithography by Yu Cao, ASML, at SPIE eBeam lunch [February 26. 2019]
    Download PDF

  • Survey: 2018 eBeam Initiative Mask Maker Survey Results [September 18, 2018]
    Download PDF

  • Survey: 2018 eBeam Initiative Perceptions Survey Results [September 18, 2018]
    Download PDF

  • Presentation: Renew, Retire, Replace by Franklin Kalk, Toppan Photomasks, at BACUS eBeam Reception [September 18, 2018]
    Download PDF

  • Presentation: Multi-beam mask writer MBM-1000 for advanced mask making presented by Hiroshi Matsumoto, NuFlare Technology, at SPIE eBeam lunch [February 27, 2018]
    Download PDF

  • Presentation: Frontiers in CD-SEM metrology presented by Sergey Babin, aBeam Technologies, at SPIE eBeam lunch [February 27, 2018]
    Download PDF

  • Presentation: Mask patterning challenges for EUV N7 and beyond presented by Mike Green, Photronics, at SPIE eBeam lunch [February 27, 2018]
    Download PDF

  • Survey: 2017 eBeam Initiative Mask Maker Survey Results [September 11, 2017]
    Download PDF

  • Survey: eBeam Initiative Perceptions Survey Results [September 11, 2017]
    Download PDF

  • Presentation: What’s Different about EUV Masks? by Tom Faure from GLOBALFOUNDRIES, presented at eBeam Initiative “BACUS” Reception [September 11, 2017]
    Download PDF

  • Presentation: The Resurgence of ILT by Tom Cecil, Synopsys, presented at eBeam Initiative SPIE 2017 Lunch [February 28, 2017]
    Download PDF

  • Presentation: Mask Modeling in the Multi-beam Era by Ryan Pearman, D2S, presented at eBeam Initiative SPIE 2017 Lunch [February 28, 2017]
    Download PDF

  • Presentation: eBeam at Light Speed for the EPE Metrology Era by Ofer Adan, Applied Materials, presented at eBeam Initiative SPIE 2017 Lunch [February 28, 2017]
    Download PDF

  • Survey: 2016 eBeam Initiative Mask Maker Survey Results [September 12, 2016]
    Download PDF

  • Survey: 2016 eBeam Initiative Perceptions Survey Results [September 12, 2016]
    Download PDF

  • White paper: GPU-Accelerated Computing: Maximizing Performance for the 24/7 Semiconductor Manufacturing Environment by Aki Fujimura, D2S [September 12, 2016]
    Download PDF

  • Presentation: Embedding IoT Chip Security using eBeam Solutions by Dr. David Lam, Multibeam Corporation [September 12, 2016]
    Download PDF

  • Introduction and Recent Results of Multi-beam Mask Writer MBM-1000 by Hiroshi Matsumoto, NuFlare at Taiwan Seminar on June 22, 2016
    Download PDF

  • Maglen eBeam Wafer Imaging System by Tony Luo, Maglen at Taiwan Seminar on June 22, 2016
    Download PDF

  • The Latest Progress in Model-based Mask Data Prep by Leo Pang, D2S at Taiwan Seminar on June 22, 2016
    Download PDF

  • The Latest Progress in Model-Based Mask Data Preparation by Leo Pang, D2S at CSTIC on March 13, 2016
    Download PDF

  • Introduction and Recent Results of Multi-beam Mask Writer MBM-1000 by Hiroshi Matsumoto, NuFlare at SPIE on February 23, 2016
    Download PDF

  • 5nm Test Chip Design & Manufacturing Challenges by Praveen Raghavan, imec at SPIE on February 23, 2016
    Download PDF

  • The Challenges in Making NIL Master Templates by Naoya Hayashi, DNP at SPIE on February 23, 2016
    Download PDF

  • Recent Progress of Electron Multi-Beam Mask Writer by Elmar Platzgummer, IMS at BACUS on September 29, 2015
    Download PDF

  • Mask Metrology in the ILT World by Leo Pang, D2S at BACUS on September 29, 2015
    Download PDF

  • 2015 eBeam Initiative Perceptions Survey and Mask Makers Survey, September 29, 2015
    Download PDF

  • Presentation: Conquering Heat Issues in Electron Beam Lithography – Past, Present and the Future by Noriaki Nakayamada of NuFlare Technology at PMJ Workshop [April 20, 2015]
    Download PDF

  • Presentation: Electron Beam-based Photomask Repair by Markus Waiblinger of Carl Zeiss, at PMJ Workshop on [April 20, 2015]
    Download PDF

  • Presentation: Simulation-based Mask Inspection and Review for the 10nm Node and Beyond by Leo Pang of D2S, at PMJ Workshop on [April 20, 2015]
    Download PDF

  • Presentation: Reactivating the Density Benefits of Moore’s Law - the eBeam Community Gears Up by Aki Fujimura, D2S [February 24, 2015]
    Download PDF

  • Presentation: Wafer Plane Analysis of Mask SEM in 2D & 3D by Takayuki Nakamura, Advantest [February 24, 2015]
    Download PDF

  • Presentation: Mask Maker’s Perspective on 10-nm Node by Chris Progler, Photronics [February 24, 2015]
    Download PDF

  • Paper: Fabrication of patterns with linewidths down to 1.5 nm by Dr. Sergey Babin – aBeam Technologies, Dr. Valeriy Yashchuk - LBNL, Dr. Ray Conley – Argonne National Laboratory [February 3, 2015]
    Download PDF

  • Survey: 2014 eBeam Initiative survey results [September 16, 2014]
    Download PDF

  • Presentation: Integrated Mask/Litho Signoff Verification using a Virtual VSB Writer by Bob Pack, GLOBALFOUNDRIES [September 16, 2014]
    Download PDF

  • Presentation: Impact of Context-Dependent Mask-Effects on Mask Hotspots by Aki Fujimura, D2S [September 16, 2014]
    Download PDF

  • White Paper: Mask Hotspots Are Escaping the Mask Shop; Model-Based Mask Verification Can Stop Them, [February 25, 2014]
    Download PDF

  • 2014 SPIE eBeam Initiative lunch presentations by D2S, DNP and Mentor Graphics, [February 25, 2014]
    Download PDF

  • BACUS 2013 eBeam Initiative event presentation by Dr. John Chen, NVIDIA [September 10, 2013]
    Download PDF

  • BACUS 2013 eBeam Initiative event presentation by Dr. Kokoro Kato, Hitachi High-Tech Science Corp [September 10, 2013]
    Download PDF

  • eBeam Initiative 2013 survey results [September 10, 2013]
    Download PDF

  • Survey Results presented during Photomask Japan 2013 panel - Future Mask Patterning Technologies in the Next Decade
    Download PDF

  • Photomask Japan 2013 panel presentation on challenges for future eBeam mask writers by Dr. Hiroshi Matsumoto, NuFlare.
    Download PDF

  • Photomask Japan 2013 panel presentation on multi-beam by Dr. Hans Loeschner, IMS Nanofabrication
    Download PDF

  • Photomask Japan 2013 panel presentation on eBeam simulation by Aki Fujimura, D2S
    Download PDF

  • SPIE 2013 eBeam Initiative lunch presentations by D2S, Xilinx and Toshiba [February 26, 2013]
    Download PDF

  • eBeam Initiative 2012 survey results, Jan Willis, eBeam Initiative [September 11, 2012]
    Download PDF

  • Printing results from a multi-beam mask exposure tool Elmar Platzgummer, IMS Nanofabrication [September 11, 2012]
    Download PDF

  • Improving mask CDU using MB-MDP at 14nm and beyond, Byung-Gook Kim, Samsung [September 11, 2012]
    Download PDF

  • Full-Chip MB-MDP is here, Aki Fujimura, D2S [September 11, 2012]
    Download PDF

  • HOYA, GLOBALFOUNDRIES and D2S presentations at eBeam Initiative Luncheon during PMJ2012 [April 19, 2012]
    Download PDF

  • PMJ 2012 Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (eMET POC) by Elmar Platzgummer, Christof Klein, and Hans Loeschner, IMS Nanofabrication [April 2012]
    Download PDF

  • Computational Lithography Requirements & Challenges for Mask Making by Naoya Hayashi, DNP Printing Co., Ltd, presented at the LithoVision technical symposium [February 2012]
    Download PDF

  • eBeam Initiative SPIE 2012 presentations by D2S, Tela Innovations in collaboration with CEA-Leti [February 14, 2012]
    Download PDF

  • MB-MDP Enables Circular Shots to Improve Mask Accuracy as Well as Shot Count by Ryan Pearman and Robert Pack - D2S, Inc. [February 13, 2012]
    Download PDF

  • SPIE 2012 50keV electron multibeam mask writer for the 11nm HP node: first results of the proof of concept tool (eMET POC), Christof Klein, Hans Loeschner, Elmar Platzgummer, IMS Nanofabrication, Proc. SPIE 8323,83230G [February 2012]
    Download

  • eBeam Initiative BACUS event presentations by AMTC, D2S and GLOBALFOUNDRIES, including Best Poster presentation by Dr. Gek Soon Chua, GLOBALFOUNDRIES [September 20, 2011]
    Download PDF

  • BACUS 2011 Paper - Reducing shot count through optimization-based fracture, Timothy Lin, Emile Sahouria, Nataraj Akkiraju, and Steffen Schulze. Proc. SPIE 8166, 81660T (2011) [October 13, 2011]
    Download PDF

  • BACUS 2011 Poster Session - Assessment and comparison of different approaches for mask write time reduction, A. Elayat, T. Lin, E. Sahouria, and S. F. Schulze, Proc. SPIE 8166, 816634 (2011) [October 13, 2011]
    Download PDF

  • BACUS 2011 Best Poster - Optimization of mask shot count using MB-MDP and lithography simulation by GLOBALFOUNDRIES and D2S [September 20, 2011]
    Download PDF

  • SPIE 2011 Model-Based Mask Data Prep (MB-MDP) and its effect on heating [March 2, 2011]
    Download PDF

  • IBM presentation at eBeam Initiative SPIE lunch [March 1, 2011]
    Download PDF

  • NuFlare presentation at eBeam Initiative SPIE Lunch [March 1, 2011]
    Download PDF

  • D2S presentation at eBeam Initiative SPIE Lunch [March 1, 2011]
    Download PDF

  • SPIE 2011 E-beam Direct Write Overview [March 1, 2011]
    Download PDF

  • SPIE 2011 IMAGINE: An Open Consortium to Boost Maskless Lithography Take Off - First Assessment Results on Mapper Technology [March 2011]
    Download PDF

  • Model-Based Mask Data Preparation Using Overlapping Shots: Making Optical Lithography Cost-effective for 20-nm Devices [March 1, 2011]
    Download PDF

  • Improvement of Mask Write Time for Curvilinear Assist Features at 22nm [September 14, 2010]
    Download PDF

  • Writing 32nm-hp Contacts with Curvilinear Assist Features [September 14, 2010]
    Download PDF

  • Impact of Model-Based Fracturing on E-beam Proximity Effect Correction Methodology [September 14, 2010]
    Download PDF

  • Design for e-beam: design insights for direct-write maskless lithography [September 15, 2010]
    Download PDF

  • Best paper award at PMJ 2010 - Best depth of focus on 22nm logic wafers with less shot count; Aki Fujimura - D2S, Tadashi Komagata and Yasutoshi Nakagawa - JEOL, David Kim, Vikram Tolani and Tom Cecil - Luminescent Technologies; Photomask Japan 2010
    Download PDF

  • Efficiently writing circular contacts on production reticle; Aki Fujimura - D2S, Christophe Pierrat - IC Images Technologies, Taiichi Kiuchi, Tadashi Komagata and Yasutoshi Nakagawa - JEOL; Photomask Japan 2010
    Download PDF

  • Writing "wavy" metal 1 shapes on 22 nm Logic Wafers with Less Shot Count Harold R. Zable and Aki Fujimura - D2S, Tadashi Komagata and Yasutoshi Nakagawa - JEOL, John S. Petersen - Petersen Advanced Lithography; Photomask Japan 2010
    Download PDF

  • ISQED Keynote now available - Design for E-Beam: Getting the Best Wafers Without the Exploding Mask Costs by Aki Fujimura, March 24, 2010
    Download PDF

  • Circles: One Key to Successful Lithography at Advanced Nodes by Aki Fujimura, February 23, 2010
    Download PDF

  • DFEB Methodology Guidelines for Physical Design Engineers now available
    Download PDF

  • ICCAD Tutorial now available - Beyond Light: The Growing Importance of E-Beam by Aki Fujimura, Nov. 2, 2009
    Download PDF

  • eBeam members presentation at EIPBN - May 29, 2009
    Download PDF

  • eBeam members presentation at MUSIC - April 2, 2009
    Download PDF

  • DFEB Technology Backgrounder
    Download PDF

  • Initiative Overview
    Download PDF

  • Fujitsu Viewpoint at Initiative Launch
    Download PDF

  • eSilicon Perspective on DFEB
    Download PDF

View our Privacy Policy

Copyright © 2026 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.